编译选项 (Compile)
选项 | 描述 |
---|---|
-full64 |
在64bit模式下编译、仿真,用于64位操作系统 |
-j8 |
指定编译器可以并行编译的进程数为8 |
-timescale=1ns/1ps |
指定仿真时间和精度 |
-y <dir_pathname> |
指定Verilog库路径 |
+libext+.v+.V+.sv+.svh |
识别多种格式文件,如 .v , .sv , .svh 等 |
-sverilog |
支持SystemVerilog语法的编译选项 |
-f xxx.lisf |
指定包含所有设计文件列表的文件名 |
-top test |
设置顶层模块为 test |
-l xx.log |
编译log输出到指定的文件 xx.log |
+define+XX_MACRO |
定义一个宏变量 XX_MACRO ,也可以定义具体的值,如 +define+XX_MACRO=9 |
+incdir+/xx/xx/ |
指定 include 指令使用的包含文件的目录,可以指定多个 |
-M |
启用增量编译,只编译修改过的文件 |
-Mdir=<directory> |
指定目录存储编译产生的文件,默认是 csrc |
-Mlib=<directory> |
指定目录让VCS搜索某个模块是否需要重新编译,配合 -Mdir 实现不同模块的增量编译 |
-Mupdate[=0] |
保留上次的makefile,避免覆盖,默认为覆盖上次的makefile |
-noIncrComp |
关闭增量编译 |
-notice |
显示更多的编译过程警告信息,有助于调试和优化设计 |
-R |
编译完成后立即执行 simv 命令进行仿真 |
+memcbk |
启用多维数组变量的回调,便于查看波形中的多维数组或memory型变量 |
+warn=none |
忽略所有警告信息 |
+warn=all |
显示所有警告信息 |
-debug_access+all |
启用所有debug信息,保留波形文件等 |
-debug_all |
启用UCLI命令行调试 |
-kdb |
启动KDB调试模式,支持命令行交互式调试操作 |
-cm <options> |
指定覆盖率类型,支持:行覆盖(line)、条件覆盖(cond)、状态机覆盖(fsm)等 |
-cm_assert_hier <filename> |
限定SVA覆盖率统计在指定的module层次 |
-cm_cond <arguments> |
进一步细化条件覆盖率的统计方式 |
-cm_count |
统计覆盖次数 |
-cm_dir <directory_path_name> |
指定覆盖率统计结果存放路径,默认为 simv.cm |
-cm_hier <filename> |
指定覆盖率统计的范围,可以指定模块名、层次名等 |
-cm_log <filename> |
指定覆盖率日志文件名 |
-cm_name <filename> |
指定保存覆盖率结果的文本文件名 |
-o <name> |
指定编译生成的可执行文件的名称,默认是 simv |
+v2k |
支持Verilog-2001标准 |
+vcs+initmem+0/1/x/z |
初始化设计中所有存储器的值 |
+vcs+initreg+0/1/x/z |
初始化设计中所有寄存器的值 |
+vcs+lic+wait |
等待license |
+nospecify |
忽略 specify 块,仿真时只关注设计逻辑行为,不执行时序约束 |
+notimecheck |
跳过时序约束检查,加快仿真速度,重点仿真设计逻辑 |
仿真选项 (Simulation)
选项 | 描述 |
---|---|
+ntb_random_seed |
设置NTB(Native TestBench)随机种子 |
-line |
启用VriSim单步运行 |
-ntb |
启用NTB,支持OpenVera验证平台语言结构 |
-timescale=<time_unit>/<time_precision> |
设置源文件中的timescale选项,如果文件没有定义timescale时使用此选项 |
-override_timescale=<time_unit>/<time_precision> |
统一源文件的timescale设置 |
-pvalues+<parameter_name>=<value> |
改变指定参数的值 |
-parameters <filename> |
通过文件改变参数的值,文件中指定了参数路径和新值 |
+error+<n> |
将编译时运行的NTB错误增加到N |
-vcd <filename> |
指定VCD波形文件名,默认为 verilog.dump |
本文作者:
ICXNM-ZLin
本文链接: https://talent-tudou.github.io/2024/11/17/IC/VCS常用编译和仿真选项/
版权声明: 本作品采用 CC BY-NC-SA 4.0 进行许可。转载请注明出处!
本文链接: https://talent-tudou.github.io/2024/11/17/IC/VCS常用编译和仿真选项/
版权声明: 本作品采用 CC BY-NC-SA 4.0 进行许可。转载请注明出处!